国产精品1024永久观看,大尺度欧美暖暖视频在线观看,亚洲宅男精品一区在线观看,欧美日韩一区二区三区视频,2021中文字幕在线观看

  • <option id="fbvk0"></option>
    1. <rt id="fbvk0"><tr id="fbvk0"></tr></rt>
      <center id="fbvk0"><optgroup id="fbvk0"></optgroup></center>
      <center id="fbvk0"></center>

      <li id="fbvk0"><abbr id="fbvk0"><dl id="fbvk0"></dl></abbr></li>

      Gps與恒溫晶體組合的精確同步系統(tǒng)的制作方法

      文檔序號:6015999閱讀:147來源:國知局
      專利名稱:Gps與恒溫晶體組合的精確同步系統(tǒng)的制作方法
      技術(shù)領(lǐng)域
      本發(fā)明涉及一種GPS與恒溫晶體組合的精確同步系統(tǒng),特別適合于在山區(qū)或森林覆蓋區(qū)開展同步同步地球物理探測使用。
      背景技術(shù)
      目前,常用的同步技術(shù)有GPS同步和恒溫晶體振蕩器同步。GPS同步利用GPS輸出的與UTC精確同步的秒脈沖信號(PPQ同步各工作系統(tǒng),其優(yōu)點是同步精度高、沒有同步誤差累積。缺點是GPS易受地形、地物遮擋,使同步失效。而對于恒溫晶體振蕩器同步,由于存在頻率漂移和標稱頻率誤差,因此存在同步誤差累積問題,為了解決此問題,需要經(jīng)常對鐘,給同步工作造成不便。

      發(fā)明內(nèi)容
      鑒于上述,本發(fā)明的目的是提供一種GPS與恒溫晶體組合的精確同步系統(tǒng),它既可彌補GPS易受遮擋的缺陷,又可有效消除恒溫晶體同步的累積誤差,避免經(jīng)常對鐘的麻煩,從而提高同步系統(tǒng)的環(huán)境適應(yīng)能力。為此,本發(fā)明采用以下技術(shù)方案一種GPS與恒溫晶體組合的精確同步系統(tǒng),其特征在于包括GPS接收模塊,用于產(chǎn)生秒脈沖信號和GPS工作狀態(tài)信號;恒溫晶體振蕩器,用于輸出頻率信號;頻率標定與校正電路,其在秒脈沖信號的控制下對恒溫晶體振蕩器輸出的頻率進行標定與校正,GPS接收模塊產(chǎn)生的秒脈沖信號和恒溫晶體振蕩器輸出的頻率信號接入頻率標定與校正電路的輸入;同步觸發(fā)信號發(fā)生電路,其在GPS工作狀態(tài)信號的控制下產(chǎn)生同步觸發(fā)信號,GPS 接收模塊產(chǎn)生的秒脈沖信號和頻率標定與校正電路輸出的校正后的頻率信號接入同步觸發(fā)信號發(fā)生電路的輸入;同步觸發(fā)信號發(fā)生電路在GPS工作正常時,以秒脈沖信號作為信號源產(chǎn)生同步觸發(fā)信號;否則以校正后的恒溫晶體振蕩器輸出頻率產(chǎn)生同步觸發(fā)信號。GPS接收模塊為授時型GPS接收模塊。當GPS工作正常時,在CPLD中用PPS信號連續(xù)標定恒溫晶體振蕩器輸出的頻率, 計算頻率校正碼校正恒溫晶體振蕩器輸出的頻率,保證用恒溫晶體振蕩器產(chǎn)生的實時鐘與 GPS時鐘同步;同時CPLD以PPS作為觸發(fā)源產(chǎn)生用以同步工作的同步觸發(fā)信號。當GPS工作不正常時,CPLD停止對恒溫晶體振蕩器輸出頻率的標定與校正,以最后獲得的頻率校正碼維持恒溫晶體振蕩器產(chǎn)生時鐘的運行,同時以恒溫晶體振蕩器產(chǎn)生的時鐘為觸發(fā)源產(chǎn)生用以同步工作的同步觸發(fā)信號。本發(fā)明的優(yōu)點是當GPS工作正常時,以GPS實現(xiàn)高精度時間同步,同時完成對恒溫晶體振蕩器輸出頻率的標定、校正與對鐘工作;當GPS工作不正常時,以校正和對鐘后的恒溫晶體振蕩器實時鐘產(chǎn)生同步觸發(fā)信號,維持系統(tǒng)的同步工作。從而提高本同步系統(tǒng)的環(huán)境適應(yīng)能力,提高工作效率。


      圖1為本發(fā)明的電路原理框圖;圖2為CPLD模塊的功能框圖;圖3為本發(fā)明的CPLD工作流程圖。
      具體實施例方式下面結(jié)合附圖作進一步說明。本發(fā)明為一種GPS與恒溫晶體組合的精確同步系統(tǒng),其組成框圖請參見圖1,包括授時型GPS模塊1、恒溫晶體振蕩器2、頻率標定校正和同步觸發(fā)信號產(chǎn)生CPLD模塊6、GPS 模塊天線8。其中GPS模塊天線8將接收到的定位衛(wèi)星發(fā)出的授時信號輸入到GPS模塊1中, GPS模塊1在接收到衛(wèi)星授時信號后,產(chǎn)生嚴格同步的GPS秒脈沖信號(PPS) 4和GPS工作狀態(tài)信號3,恒溫晶體振蕩器2產(chǎn)生恒溫晶體振蕩器輸出頻率信號5,CPLD模塊6利用PPS 信號對恒溫晶體振蕩器輸出的頻率信號進行標定和校正,并根據(jù)GPS工作狀態(tài)信號3的狀態(tài),選擇合適的觸發(fā)源產(chǎn)生同步觸發(fā)信號7。CPLD模塊6中包括頻率標定與校正電路和同步觸發(fā)信號發(fā)生電路。其中GPS接收模塊產(chǎn)生的PPS信號4和恒溫晶體振蕩器2輸出的頻率信號5接入頻率標定與校正電路的輸入。頻率標定與校正電路在PPS信號4的控制下對恒溫晶體振蕩器2 輸出的頻率信號5進行標定與校正。GPS接收模塊產(chǎn)生的PPS信號4和頻率標定與校正電路輸出的校正后的頻率信號接入同步觸發(fā)信號發(fā)生電路的輸入。同步觸發(fā)信號發(fā)生電路在GPS工作狀態(tài)信號3的控制下產(chǎn)生用于同步的同步觸發(fā)信號。同步觸發(fā)信號發(fā)生電路在GPS工作正常時,以秒脈沖信號作為信號源產(chǎn)生同步觸發(fā)信號;否則以校正后的恒溫晶體振蕩器輸出頻率產(chǎn)生同步觸發(fā)信號。模塊6由復(fù)雜可編程邏輯陣列(CPLD)實現(xiàn),內(nèi)部功能由VHDL語言定義和描述,模塊6實現(xiàn)的功能框圖如圖2所示,框圖中各模塊實現(xiàn)的功能分別為模塊6-1 在GPS秒信號4 (GPSPPS)和狀態(tài)信號3 (GPSSTS)以及本地恒溫晶體輸出信號5 (f 16mHz)的控制下,產(chǎn)生頻率標定控制信號8、9、10 ;模塊6-2 在頻率標定控制信號8、9、10的6控制下對本地恒溫晶體輸出信號5(fl6mHz)的頻率進行標定,產(chǎn)生實際頻率數(shù)據(jù)輸出信號11 ;模塊6_3 在信號5、9、11控制下,產(chǎn)生本地秒脈沖信號12;模塊6-4 在信號3、4、12的控制下,產(chǎn)生同步秒脈沖信號7。模塊6中各信號的名稱和編號分別為信號5 :fl6mHz ;信號4 =GPSPPS ;信號3 GPSSTS ;信號 7 =PPS ;信號 8 =EnCALF ;信號 9 =WrFreq ;信號 10 =ClrCNT ;信號 11 =FreqVal ; 信號 12 =LPPS0各模塊的VHDL實現(xiàn)如下模塊6-1的VHDL語言實現(xiàn)
      library ieee;
      use ieee.stdJogic_1164.all; use ieee.numeric_std.all; entity 6-1 is port (
      f16mHz : in stdjogic; GPSPPS : in stdjogic; GPSSTS : in stdjogic; EnCALF : out stdjogic; WrFreq : out stdjogic; CIrCNT : out stdjogic
      end entity;
      architecture rtl of 6-1 is begin
      process (f16mHz,GPSPPS) variable cnt
      begin
      integer range O to 7;
      if (GPSPPS = ') then cnt := 0; WrFreq <= '; CIrCNT <= .1.; elsif (rising_edge(f16mHz)) then if (cnt < 7) then
      cnt := cnt + 1 ; end if;
      if (cnt = 1) then WrFreq <= ';
      else
      WrFreq <= T; end if;
      if (cnt = 3) then CIrCNT <= .0.;
      else
      CIrCNT <= T;end if; end if; end process;
      process (GPSPPS,GPSSTS)
      variable cnt: integer range 0 to 3;
      begin
      if (GPSSTS = ·) then cnt := 0; EnCALF <= '; elsif (falling_edge(GPSPPS)) then if (cnt < 3) then
      cnt := cnt + 1 ; end if;
      if (cnt <= 1) then EnCALF <= .0.;
      else
      EnCALF <= .1.; end if; end if; end process; end rtl;模塊6-2的VHDL語言實現(xiàn)
      library ieee;
      use ieee.stdJogic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity 6-2 is port
      in stdjogic; in stdjogic; in stdjogic; in stdjogic;
      out unsigned(23 downto 0)
      f16mHz EnCALF WrFreq CIrCNT FreqVaI );
      end entity;
      architecture rtl of 6-2 is
      signal FreqVjAVEFjCnt : unsigned(24 downto 0); begin
      process (ClrCNT,f16mHz) begin
      if (ClrCNT = ') then
      cnt <= "0000000000000000000000000"; elsif (falling_edge(f16mHz)) then cnt <= cnt + 1 ;
      end if; end process; process (WrFreq) begin
      if (falling_edge(WrFreq)) then
      FreqV <= cnt; end if; end process;
      process (WrFreqjEnCALF)
      variable tmp : unsigned(24 downto 0);
      begin
      if (rising_edge(WrFreq)) then
      if (EnCALF = ·) then AVEF <= FreqV;
      else
      tmp := (AVEF + FreqV); AVEF(23 downto 0) <= tmp(24 downto 1); AVEF(24) <= .0.; end if; end if; end process; process (ClrCNT) begin
      if (falling_edge(ClrCNT)) then
      FreqVaI <= AVEF(23 downto 0); end if; end process; end rtl;模塊6-3的VHDL語言實現(xiàn)library ieee;
      use ieee.std_logic_1164.all; use ieee.stdJogic_unsigned.all; use ieee.stdJogic_arith.all; entity 6-3 is
      port
      (FreqV f16mHz WrFreq
      in unsigned(23 downto 0); :in stdjogic; :in stdjogic;
      :out stdjogic
      )pps end entity;
      architecture rtl of 6-3 is begin
      process (f16mHz,WrFreq1FreqV)
      variable cnt: unsigned(23 downto 0);
      begin
      if (WrFreq = ') then
      cnt :=飛 00000000000000000000000"; pps <= Τ; elsif (rising_edge(f16mHz)) then if cnt < FreqV then cnt := cnt + 1 ;
      6lS6
      cnt := "000000000000000000000000"; end if;
      if cnt < 10 then
      pps <= T; else
      pps <= '0'; end if; end if; end process; end rtl;模塊6-4的VHDL語言實現(xiàn)
      library ieee;
      use ieee.std_logic_1164.all; entity 6-4 is port
      (GPSSTS : in stdjogic; GPSPPS : in stdjogic; LPPS : in stdjogic; PPS: outstcTJogic
      end entity;
      architecture rtl of 6-4 is begin
      PPS <= GPSPPS when GPSSTS = T else LPPS;
      end rtl;見圖3所示的CPLD工作流程圖。當GPS工作正常時,PPS信號每秒輸出一次,在每個PPS測量一次恒溫晶體振蕩器輸出頻率,計作fn(n = 0,1,2,. . .,N)。測量η次后,測得的恒溫晶體振蕩器平均輸出頻率Fn如下述公式所示。測量頻率^= (F(n_V) + fn)/ {n ^ 1}根據(jù)實測的恒溫晶體振蕩器輸出頻率值就可以計算出當前本地時間的校正系數(shù)。 校正系數(shù)以查表法獲得,校正系數(shù)表按如下公式計算獲得。 校正系數(shù)計算公式n = fc/f0 (fc-f0)在上式中,η為調(diào)整系數(shù),其含義為經(jīng)過|η|秒后應(yīng)增加/減少1個時鐘周期,當η > 0時應(yīng)增加一個時鐘周期,當η < 0時應(yīng)減少一個時鐘周期;f。為恒溫晶體實測頻率;f; 為恒溫晶體標稱頻率。當GPS工作不正常時,根據(jù)最后測得的恒溫晶體振蕩器輸出頻率,對本地時鐘進行校正,以消除累積誤差對同步精度的影響,利用校正后恒溫晶體振蕩器產(chǎn)生的本地時鐘維持同步工作的順利進行。通過上述處理后,可以實現(xiàn)在GPS穩(wěn)定工作時,利用GPS授時信號實現(xiàn)精確同步; 在GPS失效時,利用恒溫晶體振蕩器維持同步工作從而拓展GPS同步系統(tǒng)的應(yīng)用范圍,彌補恒溫晶體振蕩器同步的技術(shù)不足。本發(fā)明在GPS同步系統(tǒng)中增加一個頻率標定電路和恒溫晶體振蕩器,在GPS定位正常的情況下,用GPS的PPS信號連續(xù)標定恒溫晶體振蕩器的輸出頻率,根據(jù)恒溫晶體振蕩器標定的實際輸出頻率校正其時間輸出,從而保持恒溫晶體振蕩器產(chǎn)生的輸出時間與GPS 時間同步,在GPS受到遮擋而不能工作時,系統(tǒng)自動切換成恒溫晶體振蕩器同步。實現(xiàn)在任何情況下均能實現(xiàn)同步工作的目的,且恒溫石英鐘同步的累積誤差得到最大限度的克服。上述的實施例并不對本發(fā)明所要求的保護范圍構(gòu)成任何形式的限制,本發(fā)明的權(quán)利要求書覆蓋了所有的修改和變更,因此,針對上述實施例做出種種修改和變化均屬于本發(fā)明的保護范圍內(nèi)。
      權(quán)利要求
      1.一種GPS與恒溫晶體組合的精確同步系統(tǒng),其特征在于包括 GPS接收模塊,用于產(chǎn)生秒脈沖信號和GPS工作狀態(tài)信號;恒溫晶體振蕩器,用于輸出頻率信號;頻率標定與校正電路,其在秒脈沖信號的控制下對恒溫晶體振蕩器輸出的頻率進行標定與校正,GPS接收模塊產(chǎn)生的秒脈沖信號和恒溫晶體振蕩器輸出的頻率信號接入頻率標定與校正電路的輸入;同步觸發(fā)信號發(fā)生電路,其在GPS工作狀態(tài)信號的控制下產(chǎn)生同步觸發(fā)信號,GPS接收模塊產(chǎn)生的秒脈沖信號和頻率標定與校正電路輸出的校正后的頻率信號接入同步觸發(fā)信號發(fā)生電路的輸入;同步觸發(fā)信號發(fā)生電路在GPS工作正常時,以秒脈沖信號作為信號源產(chǎn)生同步觸發(fā)信號;否則以校正后的恒溫晶體振蕩器輸出頻率產(chǎn)生同步觸發(fā)信號。
      2.如權(quán)利要求1所述的GPS與恒溫晶體組合的精確同步系統(tǒng),其特征在于 GPS接收模塊為授時型GPS接收模塊。
      全文摘要
      本發(fā)明為一種GPS與恒溫晶體組合的精確同步系統(tǒng),包括GPS接收模塊、頻率標定與校正電路、恒溫晶體振蕩器和同步觸發(fā)信號發(fā)生電路。其中GPS接收模塊用于接收定位衛(wèi)星的授時信號輸出精確同步的秒脈沖信號(PPS);恒溫晶體振蕩器用于產(chǎn)生較穩(wěn)定的頻率輸出信號;頻率標定與校正電路利用PPS對恒溫晶體振蕩器輸出頻率進行標定和校正;當GPS工作正常時,同步觸發(fā)信號發(fā)生電路利用PPS產(chǎn)生精確同步觸發(fā)信號,當GPS工作不正常時,同步觸發(fā)信號發(fā)生電路利用校正后的恒溫晶體振蕩器輸出頻率產(chǎn)生精確同步觸發(fā)信號。從而保證該組合同步系統(tǒng)無論在何種環(huán)境條件下均能正常工作。
      文檔編號G01S19/13GK102393622SQ20111023394
      公開日2012年3月28日 申請日期2011年8月16日 優(yōu)先權(quán)日2011年8月16日
      發(fā)明者徐寶利, 李勇, 李建華, 林品榮, 石福升, 肖原, 鄭采君, 郭鵬 申請人:中國地質(zhì)科學(xué)院地球物理地球化學(xué)勘查研究所
      網(wǎng)友詢問留言 已有0條留言
      • 還沒有人留言評論。精彩留言會獲得點贊!
      1